fix last_decimation

This commit is contained in:
Jakob Ketterl 2020-08-27 22:35:12 +02:00
parent b06732dbf5
commit 53eefa7c80
1 changed files with 1 additions and 1 deletions

View File

@ -176,7 +176,7 @@ class dsp(object):
if self.last_decimation >= 2.0:
# activate prefilter if signal has been oversampled, e.g. WFM
last_decimation_block = ["csdr fractional_decimator_ff {last_decimation} 12 --prefilter"]
elif self.last_decimation >= 1.0:
elif self.last_decimation != 1.0:
last_decimation_block = ["csdr fractional_decimator_ff {last_decimation}"]
if which == "nfm":
chain += ["csdr fmdemod_quadri_cf", "csdr limit_ff"]